トップ 最新 追記

uDiary

海野秀之(うんのひでゆき)の外部記憶

Twitter (twilog) / RSS / アンテナ / ぶくま

2006|07|08|09|10|11|12|
2007|01|02|03|04|05|06|07|08|09|10|11|12|
2008|01|02|03|04|05|06|07|08|09|10|11|12|
2009|01|02|03|04|05|06|08|
2010|01|02|03|05|06|07|10|11|
2011|03|08|
2012|02|04|07|08|10|
2013|01|02|03|05|06|08|11|12|
2014|01|02|05|06|07|08|09|12|
2015|01|02|03|04|

2007-10-02 (Tue)

[] ク・リトル・リトル

小林泰三さんファンとしても、ここは、やはり、 新しくなったという 「ク・リトル・リトル」 を読んでおかねばならんのではないか。

クトゥルーに関する予備知識がまったくないので、 以下を参考にしつつイメージを膨らませておくことに。

(coco さんの最新のやつ をみていて思いました。)


2007-10-04 (Thu)

calleth …… 古語?

ほったらかしてた The demon haunted-world を読む。 なんか間違っている気もするが、この本のなかに知らない単語はないぜ!って状態をめざす。 いや、何度これで失敗してきたかは……しらない。

んで、calleth。

手元の OALD5 にはのっていない。オンライン辞書をいろいろ引いてみてもだめ。 なんだこりゃ?

どうやら、欽定訳聖書 (KJV) にでてくる言い回しらしい*1。 この欽定訳聖書ってのは、古語を含んでいて難しいんだけど、 うつくしいとかで好まれているらしい。

Now that the dead are raised, even Moses shewed at the bush, when he calleth the Lord the God of Abraham, and the God of Isaac, and the God of Jacob. (Luke 20:37)

To him the porter openeth; and the sheep hear his voice: and he calleth his own sheep by name, and leadeth them out. (John 10:3)

The Revised English Bible (「コンピュータ科学者がめったに語らないこと」の副読本として買った) 該当部分は次のとおり:

That the dead are rised to life again is shown by Moses himself in the story of the burning bush, when he calls the Lord "the God of Jacob". (Luke 20:37)

The doorkeeper adits him, and the sheep hear his voice; he calls his own sheep by name, and leads them out. (John 10:3)

というわけで、えー、"calleth" = "calls" ってことですかね。

あ、ちなみに、calleth なんて単語は The demon haunted-world の本文中に登場するわけでは なくて、quote のなか (p.114)。

Fear of things invisible is the natural

seed of that which every one in himself

calleth religion.

THOMAS HOBBES,

Leviathan (1651)

*1 あ、いや、べつにこの聖書がこの単語を発明したわけじゃないんですが。

日本語の単語空間は無駄に(?)広い

「押し入れに、この荷物が入るだけの『すき間』があるかなぁ。」、 「『宇宙』、それは最後のフロンティア」、 「集合がこれこれの性質を満たすとき、これをベクトル『空間』といいます」なんて言うわけですが、 これらが素で全部おなじ単語、"space" であるような言語を母国語とする人の頭んなかは、 きっと俺等とは違うと思う。

こんなことを思っていたのを、あろはさんのあれを読んで思い出しました

で人名にしても、マシューと読んでみたり、マタイと読んでみたり、 器用なもんです。

Luke, a son of Anakin, an apprentice of Obi-Wan. Obi-Wan is an apprentice of Qui-Gon.

やっぱ、こんな感じですか?

ほらほら〜(ホラーマン@それいけ!アンパンマン)

帆掛印ってどこにあるんだろう、見たことないんだよなぁ。 ってか、どこの出版社だっけ?とか思いつつ、ぶらぶら書店を歩いていた。

「……はっ!殺気」

ただならぬ気配を感じて(嘘)ふと書架に目をやると、そこには、 「ラヴクラフト全集 1」があった。おお、なんとなく見たことある名前(←その程度の記憶力)。 おおお、さらに斜め上をみると、「千の脚を持つ男」が、表紙をこっち向けにしておいてある。 この表紙には見覚えがあるぜ。

というわけで、両方ゲットしてきました。

やっぱ、未読の文庫が机の上にないとつまんない。

ってか、推理文庫って……。


2007-10-10 (Wed)

バーナム効果はそんなにすごいんですか。

http://sociologbook.net/log/200710.html#eid168

よく、社員研修とかで受けさせられる適正診断・性格診断とかも、 設問にいろいろ答えるのは馬鹿みたいに面倒臭いんですが、 結局のところバーナム効果以外の何でもなかったんではないか。

いろいろ面倒臭い手続き(ほんと面倒くさい)を踏むのも、 それっぽさの演出にしか思えん。

いわゆる大企業のみなさまが、ああゆう(どうゆう?) コンサルタンツに大枚はたかねばならんというのは、一種の社会悪なんですか?

#誰に何を聞いてんのかな。


2007-10-18 (Thu)

[Verilog] フリーの Verilog 処理系をさわってみる

なんとなくですが、フリーの Verilog 処理系を物色中。 なんか、いろいろ癖とか、ノウハウとかありそうなので、ここにメモしていこう。

それぞれどんなものなのかの調査もそこそこに、ともかくインストールしてさわってみることにする。

Icarus の方は verilog-0.8.5.tar.gz をとってきて、

% gtar xzf verilog-0.8.5.tar.gz
% cd verilog-0.8.5
% ./configure
% gmake
% gmake install

でいけた。

Cver の方は gplcver-2.12a.src.tar.bz2 をもってきたら、

% gtar xjf gplcver-2.12a.src.tar.bz2
% cd gplcver-2.12a.src/src
% gmake -f makefile.amd64

って感じ。適切な makefile.hoge を自分でえらぶ。 できあがった bin/cver は勝手に自分で適当な(パスの通った)とこにおいてつかえ、と。

じゃ、動かしてみましょう。

次のような verilog ソースファイルを作ります。名前は hello.v とします。 この例は Getting Started with Icarus Verilog から。

module main;
   initial
     begin
        $display("Hello World!");
        $finish;
     end
endmodule // main

Icarus Verilog では、まず iverilog でコンパイルし、できたやつを vvp で実行します:

% iverilog -o hello.vvp hello.v
% vvp hello.vvp
Hello World!

Cver では、こう:

% cver hello.v
GPLCVER_2.12a of 05/16/07 (Linux-elf).
Copyright (c) 1991-2007 Pragmatic C Software Corp.
  All Rights reserved.  Licensed under the GNU General Public License (GPL).
  See the 'COPYING' file for details.  NO WARRANTY provided.
Today is Thu Oct 18 09:21:29 2007.
Compiling source file "hello.v"
Highest level modules:
main

Hello World!
Halted at location **hello.v(5) time 0 from call to $finish.

最初の単純な例では、両者とも何の問題も無いようです。 しかし、Cver は饒舌ですな。「今日は 10 月 18 日もくよーび、9時……」うるせーよ。

まだ両処理系の能力とか知らないんですが、Verilog の言語仕様を満たし、かつ、 どちらの処理系でも実行可能な書き方をしておけば、可搬性の上で問題なしといえそうなので、 この線を模索してみます。

業務で用いるような商用の処理系の場合は、言語仕様さえ守っておけば まず大丈夫なのですが、やはりフリーの処理系では若干非準拠なところがある……のかも(未確認)。

手元にあるリソースのコピーを置いておきます。Icarus, GPL Cver ともに再頒布可能なものなので、 コピーをおいておくのは問題ないでしょう(そんなことするのは、リソースの無駄っちゅう話はある)。

最後の Verilog ドラフトは、どこから拾ってきたか忘れちゃった。 最終版は有料なんですが、ドラフトだから……いいのか?

そろそろ観念して正式版を購入した方がいいのかしら。 (とかいいだすと、C の仕様も欲しいとか……収集癖のようなものが。)

おまけ

いま、「俺言語」処理系をつくるべく(つくれるようになるべく)、 TigerBook のお勉強中なのですが、 ふつーの言語じゃなくて Verilog の処理系とかをターゲットにすると難しくて面白かろーなと思う。

ま、いまの僕の能力からすると高望みすぎるので、まずは Scheme 処理系がつくりたいんだけど。 Ruby は parser でしねそうなのでいやだ。いまは、そこらへん (scanner, parser) に興味はない。

amsfonts をインストール

Blackboard Bold を使いたくなったので、amsfonts をインストールした。 持ってきてコピーするだけ。

amsfonts-all-but-pk-files.zipどこから ともなく持ってきて、展開。

 sudo cp -r source /usr/local/teTeX/share/texmf/
 sudo cp -r fonts/* /usr/local/teTeX/share/texmf/fonts
 sudo cp -r tex/* /usr/local/teTeX/share/texmf/tex/

って感じ。

確率論のおべんきょノートを作ろうとすると、eufrak パッケージが欲しくなる予感。…って、ああ、amsfonts のなかに eufrak も入っているのか。


2007-10-19 (Fri)

[Verilog] 7-seg 風ディスプレイモジュールを書いてみた

フリーの Verilog 処理系をさわってみる活動のつづき。

7-segment ディスプレイ風の表示モジュールを書いてみた: seven_seg_disp.v

(同じような式が繰り返し出てきて、DRY 則に違反しまくりですね。要反省です。)

7 本の線それぞれが入力の 1-bit に相当する。番号付けは以下の通りで、 これが 1-byte 中のビット番号 [6:0] に対応する。(bit[7] は用いられない)

  0
  --
5|6 |1
  --
4|  |2
  --
  3

最後にくっつけてある、ちょっとした実行デモを走らせるには、Icarus Verilog の場合、

% iverilog -DSTAND_ALONE seven_seg_disp.v ; ./a.out

Cver の場合は、

% cver +define+STAND_ALONE seven_seg_disp.v

とやればよい。うまくいくと、次のような表示が標準出力にでる:

 --
|  |
|  |

|  |
|  |
 --
      --
   | |  |
   | |  |

   | |  |
   | |  |
      --
 --        --
   |    | |  |
   |    | |  |
 --
|       | |  |
|       | |  |
 --        --
 --   --        --
   |    |    | |  |
   |    |    | |  |
 --   --
   | |       | |  |
   | |       | |  |
 --   --        --

今回書いた範囲では、Icarus, Cver ともに全く問題なし。

今日わかったこと:

  • Icarus と Cver では +define+ のやりかたが少し違った。

つんつんつのだの…

http://ww41.tiki.ne.jp/~fuhmu/tun.html

うおっと、つくつんのお母さんは獣拳遣いだったのかぁ!

獣拳戦隊ゲキレンジャーには、けっこう僕ら世代へのサービスが盛りこまれているんだけど、 Dr.スランプは対象外だったようだ。獣のセレクションが違う。


2007-10-20 (Sat)

仮面ライダー電王めあてで等々力緑地公園

16:00試合開始なのに13:00から行列 したなんて方もあったようですが、 うちは子供つれて仮面ライダーショーをみるために等々力へ。 「市民と働くもののフェスタ」なんだそうな。

ミニSLは、(電動じゃなくて)ほんとに蒸気機関車だったので、ちょっとびっくり。 うれしかった(おれが)。

仮面ライダーショーは、春に会社にきていたゲキレンジャーショーと同じ「劇団(?)」ですな。 子供を楽しませることにかけては、手慣れたもので、さすがです。また会ったね「行動隊長」さん。

間近で仮面ライダーをみて、握手までしたショックのせいか、 うちの子はしばらく難しい顔をしてましたが、どうやら楽しかったようです。

あと、「ファファ」というらしいんですが、でかい人形型の風船のなかに入って ぴょんぴょん飛び跳ねるやつとかも、子供に好評でした。

けっこう楽しませていただきました。晴れてよかった。


2007-10-22 (Mon)

[Web] リタリン関連

ja.reddit あたりで話題になっているらしい、リタリン関連。

僕自身はうつ病と診断されたことは未だないんだけど、 きっと他人事ではないんだなと思っている。 単なる「疲労」であっても、ちゃんと回復できないまま過負荷を続けていくと、その先には「もう元には戻ってこられない」世界が待ち受けているような。 どうやら、人生における不可逆変化は「死」だけではないらしい。

後者の Q&A の方も、わりと誠実に書かれているようには思うけど、 最後の問いかけはおかしいよ:

法を厳密に守り、うつ病にはリタリンを処方しないという規則を守り通し、病状の悪化を放置するか。 悪徳医師などの汚名を着せられるリスクを背負ってでも、治療上必要な場合にはうつ病にリタリンを処方するか。

どちらが良い医師と言えるでしょうか。

医師個人に、そんなリスクを負わせるべきではないでしょう。

[] フィットネス初日

17:40 〜 18:40 の間、職場を抜けてフィットネス・クラブへ。 えー、会社の施設なんですが、今回わたしはこれを初めて使うので、 最初は説明やらなんやらで少し時間がかかった。

18:05 説明等おわり

      ストレッチ。トレーナーさんが補助してくれる。
18:10
      ランニング・マシン
      ジョギング→ 12km/h で 2-min を 2 セットと、最後にまたジョギングって感じ
18:25
      腕たてふせ 15, 腹筋 10, 背筋 15
      ストレッチ

ランニング・マシンに一回しか乗れなかった。これでも職場にもどってきたのは 18:42 でした。 ううむ。次から説明とかがないとはいえ、この時間でランニング・マシンを2セットやるのはむりか。

まあ、ええけど。

ひさしぶりに、寝汗や冷汗以外の「いい汗」をかいたような気がする。

ちなみに、

  • 体重:66.5 kg
  • 血圧:108/71
  • 脈拍数:78

でした(いずれも運動前)。

ちゃんと測って確かめていないから気のせいかもしれないんですが、 近頃平熱が下がっているような気がする*1。 それは、つまり、基礎代謝が小さく、免疫力も低下している徴(しるし)なのではあるまいか。

で、それを改善することも、運動をはじめた目的のひとつなんですが、 体温を記録していないので、改善されるのか(されたのか)さっぱりわかりそうにもないなぁ。

(運動をはじめちゃったので)遅蒔きながら、平熱を記録していこうかな。

追記

22 日の夜寝る前に測った体温が 36.1 ℃、23 日の朝測ると 35.9 ℃でした。 やっぱり低めかも。自分の平熱は 36.5 ℃だと思っていたんだけど、知らぬ間に変化していたのか。

*1 熱がありそうなときしか体温を測らないので、自分の平熱を把握していなかったのだが、こないだたまたま見かけた平時体温が、自分が思っていた平熱よりも低かった。


2007-10-24 (Wed)

[] フィットネス

記録。

運動前:体重 66.9 kg, 血圧 107/84, 心拍数 80

17:56〜 ストレッチ(補助してもらいつつ)

18:00〜18:15 ランニングマシン

             ジョギング→ 12km/h * 3-min
             →ジョギング→ 12km/h * 2-min
             →ジョギング

             ジョギング分も含めた総走行距離 1.7km,
             消費カロリー(目安)は 110k cal。
             マグロにぎり寿司をクリアしてししゃもの塩焼レベルらしい
             (なんのこっちゃ)

18:15 うでたて、腹筋、背筋 各 15 回
      ストレッチ

こんな感じで、職場にもどってきたのは 18:31 でした。

18:40 に戻ってくるのが目標なので、もうひと運動できるかな。


2007-10-25 (Thu)

[] おお、これは!

ぼちぼちと「ラヴクラフト全集」を読んでいる。

おお、老人が急に変なことばを叫びはじめたぜ。

--- イア!イア!クトゥルフ・フタグン!フングルイ・ムグルウナフー・ クトゥルフ・ル・リエー・ウガ=ナグル・フグダン ---

これは!あれだっ!


2007-10-29 (Mon)

Reminder

けんこうしんだん。

auto-save-buffers

こないだ pdumpfs を導入したときには、

私は機会があるたびに、この Emacs の設定方法を紹介しているが、 実際に試してくれる人はあまり多くないようだ。

と書いてあるのを見て、フラグは立ちそうになったのだが、そのまま。

今日、ja.reddit 経由で 増井さんが紹介しているのを 見て閾値を越えました。

auto-save-buffers 導入しました。

ファイルあけると、いきなり「Buffer hoge does not end in newline. Add one?」 とか聞かれてヘコみがち。 これはなんとかしたい。

下手すりゃ、0.5 秒毎に聞かれる。うぐー。

C-x C-c する直前に C-x C-s する癖がすっかり直るまでには、当分かかりそう。

→ と思ったけど、案外すぐに C-x C-s なんか叩かなくなりそう。 それより、「改行つけたしまひょか?」ダイアログださなくする方法知りたい。うざい。

最初はいやだったけど、無断でファイル末尾に改行つけたしとかでもいいです。 それで困るのはゴルファーくらいだろう。

追記

案外苦労した。.emacs のなかで (setq require-final-newline nil) とかしても、 なんか全然効かなくて。なんじゃぁ?

ううぅ。

odz buffer (2007-01-12) を参考に、auto-save-buffers.el をちょい改造した。

1. save-buffer-without-final-newline を auto-save-buffers.el に付け加える。

(defun save-buffer-without-final-newline ()
  (interactive)
  (let ((require-final-newline nil))
    (call-interactively 'save-buffer)))

2. auto-save-buffers 定義中で、(save-buffer) してるところを、(save-buffer-without-final-newline) に変更。

[] フィットネス

あとで書く。

記録。

運動前体重: 66.2kg, 血圧 95/64, 脈拍 77.

ランニングマシン:ジョグをはさんで 12km/h 4-min, 2-min, 合計 2km, 127kcal.

うでたて、ふっきん、はいきん各 15.

ちょっと時間があまるので、ひさびさにベンチプレスをやってみようとするも、 30kg が重くてびびる。

書いてて思ったんですが、腕立て臥せ、腹筋、背筋を 15 回を 1 セットって…… やる気なさすぎですね。 とはいえ、長年の運動不足の身には、そんなに楽じゃなかったりするんですが。

もうちと増やしたい。

[Web] 和式トイレ問題

http://d.hatena.ne.jp/m-hiyama/20071030/1193703134

まったくだ!どうしよう。


2007-10-31 (Wed)

auto-save-buffers が Mini-buffer でしゃべるのが、Anthy の変換候補をかき消して困る。

auto-save-buffers が、しょっちゅう "Wrote hogehoge" と宣うので、 Anthy の変換候補ちゃんたちがかき消されてまいる。

まず、auto-save-buffers を silent にしたくなるところだが…まて。 Anthy の候補をくるくる選んでいるような操作もバファを modified にしてしまうというのが、 あれなんでは?

まあいいや、後者はめんどくさげなので触らないでおこう。

さて。どうやって黙らせるのか。 auto-save-buffers を、というよりは、(save-buffer) にメッセージを吐かせないように するには。

→ save-buffer を黙らせる方法がわかんなかったので、Anthy が変換候補を mini-buffer に表示しているときには auto-save しないようにした。

anthy.el をチラ見しつつ、auto-save-buffers の条件に (not anthy-enum-candidate-p) を追加。 てけとーにやったわりには、よさげ。

[Web] 子供がいるから、お金がないから

CSV をごにょごにょ……あー Ruby だなぁとか思ってたら、 csv.rbの使い方というのを見つけた。

ほーほー。リファレンスマニュアルには何にもないからねー。

ふと、サイドメニューをみると、なんか面白そうなページがあるぞ。

言ってはいけない言葉集

わははは。

わかります。いや、「わかってない」と言われそうですが。

結婚する前後、子供ができる前後で、自分自身のために使えるリソースは劇的に変化します。 ぼくのように、子育てのほとんどを妻に任せてしまっている人間ですらそうなのですから、 妻と母とプログラマの掛持ちがどんなに大変かは、「想像を絶している」という形態でもって わかります。想像を越えているんだから、要するにわからんってことなんだけど。

ほかにも、「んなのできるかー!!」が結論の文章とか。

[] フィットネス

運動前 体重:66.3, 血圧: 113/66, 脈拍数:79.

ランニングマシン: 13km/h * 2 min, 14km/h * 1.5 min (ジョグはさみ), 計 1.7km, 104kcal.

(腕立て 15, 腹筋 15, 背筋 15) * 3

ベンチプレス 15kg 10 * 2

本日のツッコミ(全5件) [ツッコミを入れる]

Before...

# yoko [あり。こめんとがかけない。 ]

# よこ [あれ?かけた。]

# よこ [体重:ひみちゅ 血圧:90/60くらい 脈拍:60ちょっと ベンチプレス 20kg 10*1]


2006|07|08|09|10|11|12|
2007|01|02|03|04|05|06|07|08|09|10|11|12|
2008|01|02|03|04|05|06|07|08|09|10|11|12|
2009|01|02|03|04|05|06|08|
2010|01|02|03|05|06|07|10|11|
2011|03|08|
2012|02|04|07|08|10|
2013|01|02|03|05|06|08|11|12|
2014|01|02|05|06|07|08|09|12|
2015|01|02|03|04|
Categories 3imp | Card | Cutter | Dalvik | Euler | Football | GAE/J | Hand | Haskell | Re:View | Ruby | Scheme | TQD | Tiger | TigerBook読 | UikiTeXi | Verilog | Violin | Web | parconc | tDiary | お勉強 | エントロピー | ツン読 | | 将棋 | 政治について | | 模写してみよう | 確率論 | 設定など | 雑文 | 音声